幫助中心 | 我的帳號 | 關於我們

Vivado從此開始(第2版)/EDA精品智匯館

  • 作者:編者:高亞軍|責編:張楠
  • 出版社:電子工業
  • ISBN:9787121472305
  • 出版日期:2024/04/01
  • 裝幀:平裝
  • 頁數:256
人民幣:RMB 65 元      售價:
放入購物車
加入收藏夾

內容大鋼
    本書涵蓋了Vivado的四大主題:設計流程、時序約束、設計分析和Tcl腳本的使用,結合實例深入淺出地闡述了Vivado的使用方法,精心總結了Vivado在實際工程應用中的一些技巧和注意事項,既包含圖形界面操作方式,也包含相應的Tcl命令。
    本書可供電子工程領域內的本科高年級學生和研究生學習參考,也可供FPGA工程師和自學者參考使用。

作者介紹
編者:高亞軍|責編:張楠

目錄
第1章  FPGA技術分析
  1.1  FPGA內部結構分析
    1.1.1  Xilinx 7系列FPGA內部結構分析
    1.1.2  Xilinx UltraScale系列FPGA內部結構分析
  1.2  FPGA設計流程分析
  1.3  Vivado概述
    1.3.1  Vivado下的FPGA設計流程
    1.3.2  Vivado的兩種工作模式
    1.3.3  Vivado的5個特徵
  參考文獻
第2章  設計綜合
  2.1  常用綜合選項的設置
    2.1.1  -flatten_hierarchy對綜合結果的影響
    2.1.2  -fsm_extraction對狀態機編碼方式的影響
    2.1.3  -keep_equivalent_registers的含義
    2.1.4  -resource_sharing對算術運算的影響
    2.1.5  -control_set_opt_threshold對觸發器控制集的影響
    2.1.6  -no_lc對查找表資源的影響
    2.1.7  -shreg_min_size對移位寄存器的影響
  2.2  合理使用綜合屬性
    2.2.1  async_reg在非同步跨時鐘域場合的應用
    2.2.2  max_fanout對高扇出信號的影響
    2.2.3  ram_style和rom_style對存儲性能的影響
    2.2.4  use_dsp48在實現加法運算時的作用
  2.3  out-of-context(OOC)綜合模式
    2.3.1  Project模式下使用OOC
    2.3.2  Non-Project模式下使用OOC
  2.4  綜合后的設計分析
    2.4.1  時鐘網路分析
    2.4.2  跨時鐘域路徑分析
    2.4.3  時序分析
    2.4.4  資源利用率分析
    2.4.5  扇出分析
    2.4.6  觸發器控制集分析
  參考文獻
第3章  設計實現
  3.1  理解實現策略
    3.1.1  Project模式下應用實現策略
    3.1.2  Non-Project模式下應用實現策略
  3.2  理解物理優化
  3.3  增量實現
    3.3.1  Project模式下應用增量實現
    3.3.2  Non-Project模式下應用增量實現
  3.4  實現后的設計分析
    3.4.1  資源利用率分析
    3.4.2  時序分析
  3.5  生成配置文件
  3.6  下載配置文件
  參考文獻
第4章  設計驗證

  4.1  行為級模擬
    4.1.1  基於Vivado Simulator的行為級模擬
    4.1.2  基於ModelSim/QuestaSim的行為級模擬
  4.2  實現后的時序模擬
  4.3  使用VLA(Vivado Logic Analyzer)
    4.3.1  使用ILA(Integrated Logic Analyzer)
    4.3.2  使用VIO(Virtual Input/Output)
    4.3.3  VLA中的數據分析
  4.4  使用add_probe
  參考文獻
第5章  IP的管理
  5.1  定製IP
    5.1.1  在Vivado工程中定製IP
    5.1.2  在Manage IP中定製IP
  5.2  IP的兩種生成文件形式:xci和xcix
  5.3  對IP的幾個重要操作
    5.3.1  IP的綜合
    5.3.2  IP的模擬
    5.3.3  IP的更新
    5.3.4  IP輸出文件的編輯
  5.4  IP的屬性與狀態
  5.5  IP的約束
  5.6  封裝IP
    5.6.1  通過Vivado工程封裝用戶代碼
    5.6.2  通過指定目錄封裝用戶代碼
  參考文獻
第6章  約束的管理
  6.1  基本時序理論
  6.2  兩類基本約束
    6.2.1  時鐘周期約束
    6.2.2  引腳分配
  6.3  兩種時序例外
    6.3.1  多周期路徑約束
    6.3.2  偽路徑約束
  6.4  從UCF到XDC
    6.4.1  UCF與XDC的基本對應關係
    6.4.2  理解層次標識符在UCF和XDC中的區別
  6.5  時序約束編輯輔助工具
    6.5.1  時序約束編輯器
    6.5.2  時序約束嚮導
  6.6  關於約束文件
  參考文獻
第7章  Tcl在Vivado中的應用
  7.1  Vivado對Tcl的支持
  7.2  Vivado中Tcl命令的對象及屬性
    7.2.1  文件對象及屬性
    7.2.2  網表對象及屬性
  7.3  Tcl命令與網表視圖的交互使用
  7.4  典型應用
    7.4.1  流程管理

    7.4.2  定製報告
    7.4.3  網表編輯
  7.5  其他應用
  參考文獻

  • 商品搜索:
  • | 高級搜索
首頁新手上路客服中心關於我們聯絡我們Top↑
Copyrightc 1999~2008 美商天龍國際圖書股份有限公司 臺灣分公司. All rights reserved.
營業地址:臺北市中正區重慶南路一段103號1F 105號1F-2F
讀者服務部電話:02-2381-2033 02-2381-1863 時間:週一-週五 10:00-17:00
 服務信箱:bookuu@69book.com 客戶、意見信箱:cs@69book.com
ICP證:浙B2-20060032